Microsoft Word - 164.docx CHEMICAL ENGINEERING TRANSACTIONS VOL. 56, 2017 A publication of The Italian Association of Chemical Engineering Online at www.aidic.it/cet Guest Editors: Jiří Jaromír Klemeš, Peng Yen Liew, Wai Shin Ho, Jeng Shiun Lim Copyright © 2017, AIDIC Servizi S.r.l., ISBN 978-88-95608-47-1; ISSN 2283-9216 Automatic Control of Coupled Brick Kilns Javier de J. Guadarramaa, Rosa-Hilda Chavez*,b aFacultad de Ingeniería UAEMex, Cerro de Coatepec s/n, Ciudad Universitaria, Toluca, 50110, México, México bInstituto Nacional de Investigaciones Nucleares, Carretera Mexico Toluca S/N, La Marquesa, Ocoyoacac, 52750, México rosahilda.chavez@inin.gob.mx This work focuses on the design of a new overall electronic control system set to coupled brick kilns to obtain good energy efficiency, reduce greenhouse gas emission (GHGE) and adoptable by brick workers. This system is a good option due to reduced cooking time as well as less pollutant emissions to the atmosphere, less fuel consumption, and earnings increase for workers. The automatic control system received input voltage signals from two arrays of three thermocouples, one located near the bottom of the kiln and the other near to the top. The arrays let obtain data of the heat distribution in the kiln, in the way of having a complete brick burning. Output signal was used to control an AC motor. The automatic control system allows the chimney door to be closed and opened to go out the exhaust gases to the atmosphere or to carry out to a second kiln to preheat the crude bricks. The automatic control system was designed using Field Programmable Gate Array (FPGA), with this system it was reached a higher efficiency energy. 1. Introduction In Mexico, craftsmen have used kilns with combustion processes precarious and highly polluting fuels that endanger the health of those living near these facilities, which favours climate change emissions for CO2, NOx and acid rain by SOx (Kafarov et al., 2015). Traditional kilns emissions released in the open, with no chimneys contribute to the emission inventory recorded in the country for this sector (Grncarevska et al., 2013) and it is necessary propose solutions for mitigate brick pollution to the environment (Nemet et al., 2016). The presence of chimneys promotes the measurement of air pollutants, based on environmental standards (UNDP, 2014). Therefore, it is necessary to have hood and chimney for sampling combustion products generated as well as for the use of residual heat energy from combustion gases in a first kiln for the preheating of a second kiln. Knowing how artisans carry out the burning process, it is possible to say that fuel is not used efficiently and usually represents a high economic impact of production process with low incomes. In this paper, the development of a technological alternative to offer to the craftsmen, uniform bricks in colour and mechanic resistance, and compliance requirements to the construction industry, is discussed. To offer a technological alternative to the workers (Chavez, 2008), maintaining quality and consistency bricks, efficient use of fuel, and reduction of pollutants emissions (Bruce et al., 2007), in this work, a new design of two coupled kilns was proposed, having as reference the principles for industrial furnaces (Trinks et al., 2003), to stop working at open sky and reduce levels of pollution into the atmosphere (Yong et al., 2016). Figure 1 shows circular brick walls with an installed adjacent chimney in which hot combustion gases are drawn from the first kiln to second, or expelled to the outside. Figure 2 shows the final shape of the kiln system, as a cylinder and ending in a vault, so that the kiln is closed. 2. Methodology In order to develop the electronic control circuit, it was first necessary to establish how the hot air movement inside the kiln was performed, according to the proposed design and the kiln at full load, it was taken into account by using software simulator, with ideal conditions, that the air movement of the cylinder was regular and uniform, fulfilling the principle of natural convection. The amount of heat that can be used from the combustion gases in DOI: 10.3303/CET1756323 Please cite this article as: Guadarrama J.J., Chavez R.H., 2017, Automatic control of coupled brick kilns, Chemical Engineering Transactions, 56, 1933-1938 DOI:10.3303/CET1756323 1933 kiln 1 to preheat the raw bricks in the kiln 2 would be known when the preheating temperature of bricks is reached. Figure 1: Construction of new brick kilns Figure 2: Shape of new brick kilns system The final and initial brick temperatures were determined using thermocouples inserted into the kilns, and were maintained during the burning operation. Based on the results of this analysis, a system with more kilns could be implemented to optimise the process. To estimate the energy required to cook the bricks in kiln 1, the First Law of Thermodynamics was used with the following assumptions: no additional power equipment, negligible potential and kinetic energy, and no phase change of any compound, except to remove the humidity of the bricks: (𝐻) 𝑖 − (𝐻) 𝑓 + 𝑄 = 0 (1) Where 𝑄 is the heat absorbed per unit mass that flows through the system and (𝐻) 𝑖 and (𝐻) 𝑓 are the initial and final enthalpy of the system, at constant pressure. TcpH   (2) Substituting Eq(2) into Eq(1) and considering the system mass:  iTfTmcpQ  (3) In order to determine the amount of heat that the combustion gases from the kiln 1 release to the kiln 2, the mass balance is done to calculate the amount of gases produced and then an energy balance is carried out. For the mass balance, the operation conditions, the inlet and outlet flows, the raw materials, the flow composition and the combustion reactions considered are specified: )CGTifCGT(CGcpCGmCGQ  (4) The heat capacity of the combustion gases could be determined by mean of Eq(5), using the mean of the initial and final temperatures: ) iCGi T iCGf T( c ) iCGi T iCGf T( b ) iCGi T iCGf T(aiGcp 33 3 22 2  (5) where the empirical constants a, b and c are shown in Table 3 (Saavedra et al., 2013), accordingly with Perry (2008). The net heat capacity of the combustion gases mixture could be determined by:  iGcpiyCGcp (6) The preheating temperature of the bricks in the kiln 2 depends on the heat received from the combustion gases from the kiln 1 minus the amount of energy required to remove the contained water from the raw wet bricks in the kiln 1. To determine the amount of heat required to evaporate this humidity. The following equation is used: 1934 )bricksTibricksTf(brickscpbricksmvapQCGQickseheatingBrPrnetQ  (7) where vapQ is the energy used to vaporise the humidity of the bricks before being baked in the kiln 1, this energy can be determined as:  OHOH i OH f OH p OHvap mTTcmQ 22222 )(  (8) where ksCookedBricRawBricksOH mmm  2 (9) 𝑛𝑒𝑡𝑄𝑃𝑟𝑒ℎ𝑒𝑎𝑡𝑖𝑛𝑔𝑏𝑟𝑖𝑐𝑘𝑠. is determined as the residual heat of the combustion gases in kiln 1, then it is fed into kiln 2 and from there the final preheating temperature 𝑇𝑓 𝑏𝑟𝑖𝑐𝑘𝑠 of the bricks is determined using Eq(7). Therefore, fuel saving is calculated as the amount of fuel multiplied by the enthalpy of combustion value or by the lower heating at constant pressure ((using 20 % excess theoretical dry air and the chemical reaction mechanism) and this multiplication is equal to 𝑛𝑒𝑡𝑄𝑃𝑟𝑒ℎ𝑒𝑎𝑡𝑖𝑛𝑔𝑏𝑟𝑖𝑐𝑘𝑠 . Table 1: Empirical Constants Used to Determine the Specific Heat of the Combustion Gas Products, at Ambient Compound a b (103) c (106) CO2 6.33 10.14 -3.41 H2O 7.13 2.64 0.04 SO2 6.94 10.01 -3.79 N2 6.45 1.38 -0.06 O2 6.11 3.16 -1.00 The above analysis was used to establish the developed conditions in the design of the control circuit designed to complement the two kilns. The designed automatic control is using a device called Field Programmable Gate Array (FPGA) (Monmasson et al., 2011). The decision to use this device was the facility of application of any type of automation without extensive knowledge of programming languages and handling of input signals: 16 analog types and 32 digital types, and simultaneously outputs signals: 16 analog types and 64 digital types, as minimum characteristics according to the data provided by Altera (2011), useful to activate the required actuators at the kilns. In the semi-spherical dome, the flow was turbulent, allowing the hot air and gases to be conveyed to the chimney, so that these flows were automatically controlled (Martin and McGarel, 2006). It was necessary to have two groups of thermocouples inside the kiln, each group containing three thermocouples, so that as the hot air rose, it was verified that the temperature was evenly distributed and the amount of fuel to be burned was reduced, controlling at the same time amount of gases coming out the chimney. When the kiln was turned on, the plaque was kept closed in the tunnel and the chimney was opened, and while the temperature inside the kiln was raising, per the fuel valve closing points, the plaque in the tunnel opened completely and the chimney completely closed. These actions were developed with the help of two AC motors activated through relays to open with each one a plaque in the tunnel to circulate the heat and another at the top of the chimney of the kiln to close it, and to maintain uniform temperature from the kiln, responding to the output signals from the control circuit. The temperature measurement in the kiln was recorded using type K thermocouples, which were suitable for this application. For a three-dimensional record, the cylindrical region of the kiln was divided into three equal parts, placing three thermocouples, at 1 m height of the base and at 120° with each other; at a second level at a height of 2 m from the same base, another three thermocouples were placed between them at 120°, but with a displacement of 60° from the position of the thermocouples at the lower level, as shown in Figure 3, modifying the way the bricks were filling the kiln, as shown in Figure 4. The voltages delivered by the thermocouples were the FPGA input signals (Díaz et al., 2008). 1935 Figure 3: Thermocouple position inside the kiln Figure 4: View from the inside of one kiln While desired temperatures were obtained throughout the kiln, the fuel valve was programmed so that each generated pulse decreased the amount of fuel burned by 20 % at each step, four checkpoints were set. Per the logic developed when two thermocouples on the lower level, showed the same pattern or standard temperature, the valve is closed 20 %, when the three thermocouples in the lower level recorded the same temperature, the valve was closed to 40 %. If the bottom temperature remains unchanged and two thermocouples at the top reached the same temperature as at bottom three, the valve was closed 60 %. Finally, when all thermocouples of the lower and upper thermocouples recorded the same temperature, the valve was closed at 80 %, remaining thus to finish burning the bricks. In any case, when the temperature inside the kiln decreased the set point, the automatic control allowed the valve to open in 5 % steps, ensuring that the temperature was raised to maintain the opening and closing condition of valve above process specified. This process was transformed to develop the control circuit in the conditions shown at Table 2, where x, y, z, w are the input signals related to the temperatures and fuel level; and SP1, ST2, SP2, ST2 are the output signals to the actuators, used in the modeling of the control circuit with the FPGA (Martín et al., 2013). Table 2: Temperature control Truth table x y z w SP1 ST1 SP2 ST2 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 1 1 0 0 0 0 1 0 0 0 0 0 0 0 1 0 1 1 0 0 0 0 1 1 0 1 0 0 0 0 1 1 1 1 1 0 0 1 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 0 0 1 0 1 1 0 0 0 0 0 0 1 1 0 1 0 0 1 0 1 1 1 0 0 0 1 0 1 1 1 1 0 0 1 1 The algorithm that meets the conditions and recorded in the FPGA is presented in Figure 5 and was repeated as many times as was necessary to control the two coupled kilns. 1 m 2 m 1 2 3 4 6 5 1936 Figure 5: Coding in the FPGA 3. Results and Discussion The temperature of 900 ºC was considered to evaluate fuel consumption of the first kiln and draft losses in the ducts connecting the two kilns. Table 3 shows the first kiln temperature to ensure good draft in the second kiln. Table 3: Available energy in coupled two kilns with respect to temperature Temperature in the first kiln, ºC 800 900 1,000 1,100 1,200 ΔT of T1 to T4, ºC 250.4 281 311.6 342.3 373 Draft height in the second kiln, m 6.57 7.9 10 14.1 21.78 Heat in the first kiln, MJ 71,226 76,442 81,658 86,874.6 92,091 Fuel consumption in the first kiln, kg 3,288 3,996.3 4,920.7 6,178 7,987.8 Heat in the second kiln, MJ 43,744 48,960.7 54,177 59,393.2 64,609.5 Fuel consumption in the second kiln, kg 2,020 2,560 3,264.7 4,223.7 5,064 Fuel economy by coupling, kg 1,269 1,437 1,656 1,954 2,384 The residual heat is used, the first kiln is useful for heating the walls of the second kiln and for evaporating the water from unbaked bricks, and only part of heat required to heat and finish firing (see Table 4). Table 4: Residual heat in the second kiln Heat MJ Heat the walls 14,426.74 To evaporate water from uncooked bricks 14,971.65 To heat the uncooked bricks 47,043.75 Residual heat to the second kiln 27,481.40 The obtained algorithm allowed to obtain the expected control, and during 20 h, the burning period of the two kilns, this worked well maintaining the temperature to the required value of 900 °C. 4. Conclusions Several conclusions can be drawn from the analysis of the coupled kiln systems. Some of the conclusions relate to the improvement of operating conditions and others on fuel and energy as well as financial conditions. 1) Changes in the firing process, including recirculation of the hot exhaust gases and recovery of the heat left in the bricks after firing for the preheating of another bricks load reduced energy in the range of 25 to 39 %, mainly due to the recovery of the waste energy leaving the stack, which allow less fuel consumption as well as a reduction of pollutants. 2) This saving of energy and fuel allowed a more cost-effective system, as compared to the one operating the two kilns separately, as it is done in standard practice. library ieee; use ieee.std_logic_1164.all; entity comfinal is port( x,y,z,w: in std_logic; SP1,ST1,SP2,ST2: out std_logic); end comfinal; architecture dos of comfinal is begin SP1<= ((not x) and y and z and (not w)) or (x and (not y) and z and (not w)) or (x and y and (not z) and (not w)) or (x and y and z and (not w)); ST1<= (x and y and z and (not w)); SP2<= ((not x) and y and z and w) or (w and x and (not y) and z) or (w and x and y and (not z)) or (w and x and y and z); ST2<= (z and y and x and w); end dos; 1937 3) The system can be operated continuously. 4) The field temperature in each kiln was pretty much homogeneous. 5) The working conditions for the employees improved by the operation of the system in this way. 6) In order to receive significant energy saving as well as pollution reduction, it is necessary to consider the preheating step in the brick production. 7) The direct benefits of this improvement in the brick manufacturing process is the reduction of air pollution, safer operating conditions, higher income for the brick manufacturers, and better bricks. With the reduction of cooking hours as well as the reduction of the fuel consumption, the control circuit is supported to improve the reduction of the fuel consumption and thus increase the energy efficiency of the coupled kilns of the order of 37 %, thus defining both the developed software was suitable for the purpose established in the target work. Acknowledgments Partial funding for this work was provided by the National Council of Science and Technology (CONACyT), project: EDOMEX-2009-C02-135728 and SEP-CONACyT-CB II-2007-01-82987. References Altera, 2011, , accessed 20.02.2012. Altera, 2011, , accessed 21.02.2012. Bruce C., Corral A.Y., Lara A., 2007, Development of cleaner-burning brick kilns in Ciudad Juarez, Chihuahua, Mexico. Journal of the Air & Waste Management Association 57, 444-456. Chavez R.H., 2008, Pollution reduction and energy savings of a coupled two-kiln systems for bricks making. Environmental Progress 27 (3), 397-404. Díaz M.J., Bueno E., Mateos R., Rodríguez F.J., Monmasson E., 2008, FPGA implementation of harmonic detector based on second order generalized integrators, in: IEEE Industrial Electronics Society, IECON2008, 34th Annual Conference, Orlando, USA, 2453-2458. Grncarevska T.O., Poposka E, Zdraveva P, 2013, Best practices for preparation of GHG inventory for industrial processes, Chemical Engineering Transactions 35, 1207-1212. Kafarov V., Toledo M., Meriño L., 2015, Numerical simulation of combustion process of fuel gas mixtures at refining industry, Chemical Engineering Transactions 43, 1351-1356. Martin G.D., McGarel S.J., 2006, Kiln control and upset recovery using a model predictive control in series with forward chaining, Publication number US7149590 B2, Patent US20050154477. Martín P., Bueno E., Rodríguez F.J., Machado O., Vuksanovic B., 2013, An FPGA-based approach to the automatic generation of VHDL code for industrial control systems applications: A case study of MSOGIs implementation, Mathematics and Computers in Simulation 91, 178-192. Monmasson E., Idkhajine L., Cirstea M.N., Bahri I., Tisan A., Naouar M.W., 2011, FPGAs, industrial control applications, IEEE Transactions on Industrial Electronics 7 (2), 224-243. Nemet A., Varbanov P.S., Klemeš J.J., 2016, Cleaner production, process integration and intensification, Clean Technologies and Environmental Policy 18 (7), 2029-2035. Perry R.H., 2008, Chemical Engineers’ Handbook, 8th edition, Mc. Graw Hill, New York, USA. Trinks W., Mawhinney M.H., Shannon R.A., Reed R.J., Garvey J.R., 2003, Industrial Furnaces. 6th edition, John Wiley & Sons, USA. Saavedra J., Merino L., Kafarov V., 2011, Determination of the gas composition effect in carbon dioxide emission at refinery furnaces, Chemical Engineering Transactions, 35, 1357-1362, DOI: 10.3303/CET1335226 UNDP, 2014, , accessed 21.12.2016. Yong J.Y., Klemeš J.J., Varbanov P.S., Huisingh D., 2016, Cleaner energy for cleaner production: modelling, simulation, optimisation and waste management, Journal of Cleaner Production 111:A, 1-16 1938 http://www.altera.com/literature/lit-cyc2.jsp http://www.altera.com/end-markets/refdesigns/device/cyclone2/cyclone2-index.jsp http://link.springer.com/journal/10098 http://link.springer.com/journal/10098 http://www.undp.org/content/dam/undp/library/corporate/Social-and-Environmental-Policies-and-Procedures/UNDPs-Social-and-Environmental-Standards-ENGLISH.pdf http://www.undp.org/content/dam/undp/library/corporate/Social-and-Environmental-Policies-and-Procedures/UNDPs-Social-and-Environmental-Standards-ENGLISH.pdf